ASML « Terug naar discussie overzicht

ASML in 2011

260 Posts, Pagina: « 1 2 3 4 5 6 7 8 9 10 11 12 13 » | Laatste
[verwijderd]
0
@Colonel,

Koers daling van ASML heeft achteraf gezien een verklaring. Dat is een even plausibele verklaring als de reden van de stijging van wolters en kpn. Ik ben het er niet mee eens, maar om nou hedgefunds erbij te gaan betrekken die de aex willen bewegen..
Als dit gebeurt is dan nog is lastig te zien welke richting dit op gebeurt. Volgens mij is de meest voor de hand liggende verklaring 9 van de 10 keer de reden dat een aandeel beweegt.
[verwijderd]
0
Nikon kwam gisteren met cijfers voor periode 31-03-2010/31-03-2011 Ze verwachten voor komend jaar 60 tov 57 machines dit jaar te verkopen.
Volgens mij redelijk positief, maar waarschuwen toch ook dat orderboek af en toe wat kan tegenvallen.

The semiconductor industry, which is the main target market for the IC steppers and scanners handled by the
Precision Equipment Business, is characterized as an industry with drastic changes in the business cycle, although this inclination has been waning in recent years, due to the diversification of end products. As a result, an over-supply of semiconductor devices in the market poses the risk of a decrease in the demand for steppers, due to a cutback in capital investment by semiconductor manufacturers and an accompanying increase in inventories. However, it is difficult to predict the timing or the duration of such a situation or the degree of fluctuation. As an additional characteristic of customer behavior in the same industry, orders are subject to postponement or cancellation even after they have been placed, resulting in a structure that is prone to increases in inventory during periods of slow demand.
[verwijderd]
0
Advies beursanalist Royce Tostrams: ASML is opgevangen (=gered) door de bodems van april rond 27,50. Na de uitverkoop volgt meestal een herstel: bit.ly/hHqrOD
[verwijderd]
0
ASML to ship 10 EUV tools in 2012

Josephine Lien, Taipei; Jessie Shen, DIGITIMES [Monday 16 May 2011]

ASML has received 10 orders for its next-generation ultraviolet (EUV) lithography system – the NXE:3300 – with deliveries starting in 2012, according to the Dutch fab tool provider.

The orders were placed by logic IC and memory customers in Japan, Korea, Taiwan and the US, ASML said. The NXE:3300 series delivers improved performance in imaging, overlay and throughput, targeting volume production at the 22nm half-pitch node.

ASML revealed that for its previous-generation EUV platform – the NXE:3100 – a 0.25-NA production tool with a resolution of 27nm, the company has six orders in total with half of them already being fulfilled. The remaining three sets will be shipped by the end of 2011, the company added.

The NXE:3300 will deliver a throughput of up to 100 wafers per hour, compared to a maximum of 60 units that its predecessor reached, according to ASML.

Among Taiwan's DRAM chipmakers, Rexchip Electronics reportedly is so far the only player to order a set of EUV equipment. Others are still struggling to preserve cash needed to buy the tool, which costs as high as US$100 million.

Taiwan Semiconductor Manufacturing Company (TSMC) is among ASML's major clients, having placed an order for the NXE:3100, the foundry announced in early 2010.

[verwijderd]
0
Hoorde dat er bij ASML redelijk wat orders worden geannuleerd..

Vorig jaar hoorde je dat er 6 machines per week worden afgeleverd, Nu 2 per week. Tijdelijke krachten vliegen er al weer uit..

Dit bedrijf merkt de dip als 1 van de eerste.. Short is weer in...!
[verwijderd]
0
quote:

sjef 01 schreef:

Hoorde dat er bij ASML redelijk wat orders worden geannuleerd..
Interessant, bron?
[verwijderd]
0
beursanalist Royce Tostrams: ASML test nu het low van 6 jui op 25,80. Breekt dit niveau dan sluit ik koersdalingen richting steun 22,86 (bodem oktober) niet uit. bit.ly/hvL6sG
[verwijderd]
0
Verkopers domineren het koersverloop van ASML. De trend is naar onderen gericht met ruimte tot steun 22,86 (bodem van 22 oktober 2010). bit.ly/hvL6sG
[verwijderd]
0
quote:

sjef 01 schreef:

Vorig jaar hoorde je dat er 6 machines per week worden afgeleverd, Nu 2 per week. Tijdelijke krachten vliegen er al weer uit..

ASML blijft losse en vaste mensen zoeken

door Harrie Verrijt e-mail: vrijdag 10 juni 2011 | 15:33 | Laatst bijgewerkt op: zaterdag 11 juni 2011 | 09:08


VELDHOVEN - Chipmachinefabrikant ASML is nog niet verzadigd als het gaat om personeel. Hoewel volgens een woordvoerder de hele sterke expansie achter de rug is, wordt er nog steeds geworven.

Zie ook:
•ASML moet soms 'origami elektronica' inbouwen
Via uitzendbureaus worden flexibele krachten aangenomen. Mocht de markt inzakken, dan zijn zij de eerste die weer van de loonlijst worden geschrapt.

ASML zoekt ook honderden vaste krachten. Op de website staan voor Europa 82, voor Azië 38 en voor de Verenigde Staten 28 functies waarvoor vacatures zijn. In werkelijkheid is volgens de woordvoerder het aantal gezochte mensen hoger. "Alleen al voor de functies in de klantenservice in Azië zijn we op zoek naar 150 mensen." Ook voor de andere functies, onder andere onderzoek en ontwikkeling, informatietechnologie en productie, zijn soms meerdere vacatures per functie.

Financieel topman Peter Wennink zei eerder dat de 10.000 personeelsleden mogelijk dit jaar gehaald wordt. Of het aantal van 9.524 aan het eind van het eerste kwartaal intussen zover is uitgebreid, is niet bekend.

De woordvoerder zegt dat het bedrijf bij de werving van mensen geholpen wordt door de stijgende populariteit van ASML als werkgever. In het Intermediair Imago Onderzoek 2011 is het Veldhovense bedrijf gestegen van de 23ste naar de 12de plek. Het staat boven Apple Nederland dat ook een flinke sprong van 37 naar veertien maakt en wetenschappelijk instituut TNO dat zakt van negen naar dertien. Google (8) en Philips (2) zijn de enige technologiebedrijven die boven ASML staan. De rest van de top tien zijn voornamelijk banken met Rabobank op nummer een.

© Eindhovens Dagblad 2011

www.ed.nl/economie/asml/8905652/ASML-...
elbwee
0
Wat kan het omslaan met de koers van ASML. Ondanks positieve artikelen in o.a. Elsevier, VK en NRC in een schuine streep naar beneden. Tsja, cyclisch fonds dus als eerste aan de beurt met een negatief sentiment.
Voor de lange termijn blijf ik echter positief want de behoefte aan chips zal naar mijn idee alleen maar toenemen.
Tank78
0
Ongelooflijk, dat na zo'n afstraffing gedurende afgelopen 1.5 maand er zelfs op deze dag er weer wat afgaat. ASMI dikt gewoon weer een dikke 4% aan.
[verwijderd]
0
quote:

elbwee schreef op 20 juni 2011 11:46:

Wat kan het omslaan met de koers van ASML. Ondanks positieve artikelen in o.a. Elsevier, VK en NRC in een schuine streep naar beneden. Tsja, cyclisch fonds dus als eerste aan de beurt met een negatief sentiment.
Voor de lange termijn blijf ik echter positief want de behoefte aan chips zal naar mijn idee alleen maar toenemen.
productie al weken in almelo van 3 naar 2 machines .
afgelopen week geen productie.
deze week nog onbekend,moet ik eerst zoon bellen.[vanavond]

[verwijderd]
0
Geschiedenis
1900 Het bedrijf wordt opgericht als Philips Machinefabrieken. We hebben inmiddels meer dan 100 jaar ervaring in het ontwikkelen en produceren van geavanceerde productielijnen voor producten variërend van gloeilampen tot displays.

1980 Met de groei van de activiteiten van Philips in de sector Science & Industry is het bedrijf ontwikkeld tot een productiepartner voor mechatronische systemen. Relaties met huidige OEM-bedrijven zoals ASML en FEI stammen uit deze Philipstijd.

1990 Philips Machinefabrieken ontwikkelt zich tot een wereldwijde speler die geïnte-
greerde oplossingen aanbiedt aan Philips en andere klanten.

2000 De naam van het bedrijf verandert in Philips Enabling Technologies Group.

2006 De VDL Groep neemt het bedrijf over. De VDL Groep bestaat uit 77 werkmaat-
schappijen. VDL Enabling Technologies Group zet haar ontwikkeling voort met de ondersteuning van een financieel krachtige moederorganisatie.
[verwijderd]
0
Merkwaardig toch, zoveel tegenstrijdigheden tussen de berichten van enerzijds sjef 01 & de vale, en anderzijds ASML.
elbwee
0
Ik concludeer uit de berichtgeving van de Vale dat de productie daalt. Dat is niet goed maar ik begreep ook dat ze flexibel met arbeidskrachten omgaan waarmee loonkosten direct kunnen worden bijgestuurd.
260 Posts, Pagina: « 1 2 3 4 5 6 7 8 9 10 11 12 13 » | Laatste
Aantal posts per pagina:  20 50 100 | Omhoog ↑

Meedoen aan de discussie?

Word nu gratis lid of log in met uw e-mailadres en wachtwoord.

Direct naar Forum

Detail

Vertraagd 6 mei 2024 17:39
Koers 846,600
Verschil +10,700 (+1,28%)
Hoog 847,700
Laag 832,600
Volume 210.766
Volume gemiddeld 552.888
Volume gisteren 434.464

EU stocks, real time, by Cboe Europe Ltd.; Other, Euronext & US stocks by NYSE & Cboe BZX Exchange, 15 min. delayed
#/^ Index indications calculated real time, zie disclaimer, streaming powered by: Infront