ASML « Terug naar discussie overzicht

ASML 2020

7.502 Posts, Pagina: « 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 ... 372 373 374 375 376 » | Laatste
voda
0
ASML in frontlinie technologiestrijd China en Verenigde Staten

Personeel van ASML aan het werk in de fabriek in Veldhoven. Foto: Bart van Overbeeke/Reuters

De Amerikaanse overheid heeft een intensieve lobby gevoerd bij de Nederlandse regering om de export van euv-machines door chipmachinemaker ASML naar China tegen te houden. Dat schrijft persbureau Reuters maandag, op basis van anonieme bronnen.

Er zouden meerdere gesprekken zijn gevoerd op de Nederlandse ambassade in Washington, en ook premier Mark Rutte is rechtstreeks over de kwestie benaderd.

Mike Pompeo, de Amerikaanse minister van buitenlandse zaken, bracht de kwestie ter sprake bij zijn bezoek aan Nederland in juni vorig jaar. Zes weken later zou Rutte, bij een bezoek aan het Witte Huis, een onderzoek van de Amerikaanse veiligheidsdiensten in de handen zijn gedrukt. Daarin stonden scenario’s over de gevolgen als China de beschikking krijgt over de euv-technologie van ASML.

De Amerikanen zouden ook hebben onderzocht of ze zelf de export van de machines konden tegenhouden

Of het een rechtstreeks gevolg was van de Amerikaanse lobby is niet duidelijk, feit is wel dat kort daarna wereldkundig werd dat ASML niet aan een Chinese order voor een euv-machine kon voldoen, omdat de vergunning hiervoor van buitenlandse zaken op zich liet wachten.

Die aanvraag loopt nog altijd, bevestigt ASML maandag. ‘Niet dat hier een machine in een doos stof staat te vangen. Zo werkt het niet’, aldus een woordvoerder. ‘Maar er is een order geplaatst die niet in productie kan worden genomen als de vergunning er niet is.’

Het ministerie van buitenlandse zaken wil niet op individuele zaken ingaan, en dus ook niet uitleggen waarom de vergunning nog niet is afgegeven.

Vier vragen over wat dit betekent.

Om wat voor machines gaat het?

De kwestie draait om één specifiek, maar zeer belangrijk product van ASML: de euv-machines. Deze enorme apparaten (die zo'n €120 mln per stuk kosten) werken met zeer geconcentreerd ultraviolet licht (extreme ultra violet, oftewel euv) om halfgeleiders te printen. Dat licht wordt met speciale spiegels gebundeld en gericht.

Deze technologie maakt het mogelijk veel kleinere circuits te printen op een chip, die daarmee weer een slag kleiner en sneller wordt dan met andere technologie. De chips kunnen worden gebruikt in allerlei consumentenelektronica, maar ook in apparaten met militaire doeleinden.

ASML had een algemene vergunning voor de export naar China, maar die verliep eind juni 2019

ASML is het enige bedrijf ter wereld dat de zeer complexe euv-technologie op industriële schaal kan toepassen, en heeft daarmee dus een cruciaal monopolie.

Waarom is daar een exportvergunning voor nodig?

De levering van geavanceerde technologie, zoals de chipmachines van ASML, is onderworpen aan een internationaal verdrag, het zogenoemde Wassenaar Arrangement, dat door zowel Nederland als de VS is ondertekend. Voor de export van dergelijke technologie is een vergunning nodig, omdat zij ook voor militaire doeleinden kan worden ingezet.

ASML had een algemene vergunning voor de export naar China, maar die verliep eind juni 2019. Het bedrijf deed daarna een aanvraag voor een aparte vergunning voor de export van deze allereerste euv-machine naar een Chinese klant, maar deze is nog in behandeling. De levering van andere typen machines van ASML aan China gaat wel door.

Waarom bemoeien de Verenigde Staten zich hiermee?

China en de Verenigde Staten zijn verwikkeld in een race om technologische dominantie. China heeft vele miljarden uitgetrokken om de eigen chipindustrie op topniveau te brengen. De Verenigde Staten zien hierdoor hun politieke en economische dominantie in gevaar komen.

De Verenigde Staten kunnen Nederland en ASML economisch schaden als de Nederlandse opstelling in deze zaak ze niet zint

Onder het mom van nationale veiligheid proberen de Amerikanen nu om die technologische opkomst van China de kop in te drukken. Volgens Reuters zouden ze hebben onderzocht of ze de export van ASML naar China zelf konden verbieden, maar aangezien de euv-machines merendeels Europese technologie bevatten (en in Veldhoven worden gemaakt) was dat niet mogelijk. Vandaar de druk op de Nederlandse regering om de export een halt toe te roepen.

Wat moet Nederland nu doen?

De economische belangen zijn groot. Enerzijds ligt voor ASML, dat voor duizenden banen in Veldhoven en omgeving zorgt, een belangrijke afzetmarkt in China. Anderzijds kunnen de Verenigde Staten Nederland en ASML ook economisch schaden als de Nederlandse opstelling in deze zaak ze niet zint.

De situatie rond ASML doet denken aan die rond de Chinese telecomgigant Huawei, waarbij de Verenigde Staten ook bondgenoten onder druk zetten om handel met China te stoppen. Maar in dit geval is er niet zomaar een alternatief: iederéén heeft ASML nodig om in de technologierace mee te kunnen doen. En dat geeft Nederland een bijzondere positie.

‘Bij Nederland heeft lange tijd vooral de handel prioriteit gekregen in de relatie met China’
• Bram van Ojik, Groen Links
D66-Kamerlid Kees Verhoeven meent dat Nederland niet te veel zijn oor naar de Verenigde Staten moet laten hangen. Hij benadrukt dat ASML van vitaal belang is voor de Nederlandse economie. 'Dit bedrijf moet gewoon handel kunnen drijven met China. Nederland moet een eigenstandige afweging maken of het ASML onder het Wassenaar Arrangement een exportvergunning afgeeft voor de export van deze chipmachine. De Amerikanen kunnen veel aan ons vragen, maar wij hoeven niet altijd te luisteren.'

Verhoeven roept het kabinet op snel openheid van zaken te geven, in elk geval aan ASML zelf, maar ook aan de Tweede Kamer.

Zijn Groen Links-collega Bram van Ojik heeft juist wel begrip voor het standpunt van de Amerikanen. 'Bij Nederland heeft lange tijd vooral de handel prioriteit gekregen in de relatie met China, daarna kwamen pas de zorgen over mensenrechtenschendingen, privacy en spionage. In die zin vind ik het niet vreemd dat de VS Nederland erop attenderen wat de mogelijke gevolgen zijn als China de technologie van ASML in handen krijgt.'

fd.nl/economie-politiek/1330185/asml-...
Bijlage:
[verwijderd]
0
quote:

AnalytischDenker schreef op 3 januari 2020 13:01:

[...]

Dat punt van "High Volume Manufacturing" is nog maar zeer de vraag.

Er zijn dus diverse bronnen die bevestigen dat er serieuze issues zijn met de EUV machines, waarvan het belangrijkste issue de lage productiviteit van de EUV machine.

1. ASML CTO – Martin van de Brink

Productiviteit:
The source power should be also be improved. ASML has demonstrated 500W in the lab, about twice the current number. The current ASML product, the NXE-3400C, is rated at 170 wafers per hour. So that is the status of the first generation of EUV lithography. 250W has been regarded as the minimum for EUV to have a high enough wafer throughput to be acceptable in high-volume manufacturing.

Productiekosten chip fabrikanten:
One important issue that remains in place is the cost per layer. While the the economics for various levels of multi-patterning has been relatively low, the costs of the current EUV system (NXE EUV 0.33 NA) with single patterning and multi-patterning) is significantly higher. The goal is to be able to move to the next-generation high-NA system (EUV 0.55NA) using just single patterning.

www.cdrinfo.com/d7/content/future-euv...

2. Qualcomm

Qualcomm Snapdragon 865 flagship fabricated on TSMC 7nm without EUV
………………………
Kressin noted that EUV is an upgrade to manufacturing process, but that is not a direct concern of Qualcomm, which gives priority to chip performance, power consumption. He said Qualcomm needs massive production capacity support from mainstream advanced technology.

skystatement.com/qualcomm-snapdragon-...

3. TSMC

TSMC “not yet happy” with ASML’s EUV scanners

We’re still improving the availability. We have an output power of 250 watts as we expected. Now we can operate the tool with 250 watts consistently. However, there are still some things that we need to improve, so that we can improve the throughput and availability,” Wei said.

……………………………
Still, in terms of productivity, there’s still a significant gap with optical lithography. “We’ll be working on that for some time to come,” Van den Brink predicted. Eventually, he wants EUV scanners to be as productive as DUV machines.

bits-chips.nl/artikel/tsmc-not-yet-ha...

=============================================

Dan is er ook nog de issue dat de EUV machines vanaf 5NM blijkbaar tegen bepaalde beperkingen gaat aanlopen.

A. Stephen Renwick – Nikon

Nikon-man kritisch over 5 nanometer-EUV lithografie op Advanced Lithography TechXPOT

‘EUV zal moeite hebben om klaar te zijn voor 5 nanometer, beperkt door opbrengstproblemen veroorzaakt door stochastische effecten in de resist’, aldus Renwick. ‘Klaar of niet, het zal wel worden gebruikt.’ Renwick suggereert dat de invoering van multiple-patterning met EUV wellicht nodig is, maar de kosten zou verhogen.


www.linkmagazine.nl/18943-2/

B. Mark Lapedus - Semiengineering

At 5nm, chipmakers might use ASML’s existing 0.33 NA EUV tool, which could require single and/or double patterning EUV. At one point, double patterning EUV appeared to be straightforward. But there are growing concerns that double patterning EUV is too complicated and expensive for many devices. And at 3nm, triple patterning EUV may be necessary, which is not considered viable.

semiengineering.com/multi-patterning-...

Wat ik mij afvraag is in hoeverre ASML met afnemers (TSMC, Samsung, Intel etc.) afspraken maakt over de performance criteria van de EUV machines?

Worden er bij aankoop van de EUV machines bijvoorbeeld in het contract performance eisen gespecificeerd over:

  • de minimale "source power" die de EUV machines moeten halen?
  • het minimale aantal wafers per uur dat de EUV machines moet kunnen produceren?
  • de minimale yield van de EUV machines (en dus het maximaal percentage productiefouten)?
  • de maximale kosten per te produceren chip?
  • de maximale downtime van de EUV machines?
En als er dit soort performance criteria voor de EUV machines worden vastgelegd, wat dan de consequenties zijn als die niet worden gehaald?

Jullie inhoudelijke reacties zijn uiteraard zeer welkom?

roloff
0
@Ad, jij doelt daarbij - indirect - ook op eventuele claims die ASML kan krijgen als deze (door jou veronderstelde) performance indicators daartoe aanleiding zouden geven?

Moeten wij nu gaan speculeren wat er allemaal in contracten staat die ASML met afnemers afsluit. Wat heeft dat voor waarde, dat hierop speculeren?
Marcel H.
0
Gastone
0
quote:

roloff schreef op 7 januari 2020 12:03:

Interessante vergelijking: img.iex.nl/content/2020/columns/chips...
Zo'n vergelijking vind ik vaak een rommelige grafiek. Een lijstje zegt net zo veel. Grote probleem is vaak de periode die je kiest. Interessanter is het feit dat als je de afgelopen jaren bekijkt, dan zie je dat ASML het in de meeste jaren beter doet dan de SOX.

Ben ook een beetje gefrustreerd van gisteren. Roloff, ik snap op zich de buy-and-hold strategie wel. Zolang je geen beslissing neemt neem je ook niet de verkeerde. @AD, wat is jouw koersdoel? Heb nu een paar JAN 275C gegeven.

De grafiek van Samsung is erg interessant. Net onder de top van enkele jaren geleden.
[verwijderd]
0
quote:

AnalytischDenker schreef op 7 januari 2020 15:29:

[...]

Wat ik mij afvraag is in hoeverre ASML met afnemers (TSMC, Samsung, Intel etc.) afspraken maakt over de performance criteria van de EUV machines?

Worden er bij aankoop van de EUV machines bijvoorbeeld in het contract performance eisen gespecificeerd over:

  • de minimale "source power" die de EUV machines moeten halen?
  • het minimale aantal wafers per uur dat de EUV machines moet kunnen produceren?
  • de minimale yield van de EUV machines (en dus het maximaal percentage productiefouten)?
  • de maximale kosten per te produceren chip?
  • de maximale downtime van de EUV machines?
En als er dit soort performance criteria voor de EUV machines worden vastgelegd, wat dan de consequenties zijn als die niet worden gehaald?

Jullie inhoudelijke reacties zijn uiteraard zeer welkom?
Staat niet bij de risk factors in het jaarrapport 2018. Hoewel die behoorlijk uitgebreid omschreven worden.

Aangeraden lectuur voor jou, AD, vanaf pagina 50 !
roloff
0
quote:

Gastone schreef op 7 januari 2020 16:18:

[...]... Ben ook een beetje gefrustreerd van gisteren. Roloff, ik snap op zich de buy-and-hold strategie wel. Zolang je geen beslissing neemt neem je ook niet de verkeerde. @AD, wat is jouw koersdoel? Heb nu een paar JAN 275C gegeven.

De grafiek van Samsung is erg interessant. Net onder de top van enkele jaren geleden.
@Gastone, het is niet dat ik niet wil traden of het feit dat ik geen beslissing kan nemen, maar het is het fiscale regime hier. Als ik aandelen verkoop kan (moet!) ik CGT belasting daarover betalen. Verkoop ik mijn porto dan gaat dat wel heel dik in de papieren lopen. Doe ik dus maar niet. Vermogensbelasting kennen ze hier niet (dat scheelt wel weer).

Jij met een huis in Italië bezig. Als je daar 'fiscal resident' wil worden (of reeds bent) zou ik maar even informeren hoe het zit met eventuele Capital Gains Tax. Hier (Portugal) wordt je geacht om je hele wereldinkomen op te geven. Lijkt me dat dat in Italië (EU) hetzelfde is, ben alleen niet op de hoogte van wat onder inkomstenbelasting daar valt.

Suk6 met je verbouwing ;-).
roloff
0
quote:

Toert schreef op 7 januari 2020 16:20:

[...]

Staat niet bij de risk factors in het jaarrapport 2018. Hoewel die behoorlijk uitgebreid omschreven worden.

Aangeraden lectuur voor jou, AD, vanaf pagina 50 !
@Toert, inderdaad, aan te raden lectuur. Dank.

ps. niet nader te noemen persoon redeneert nog steeds zwaar vanuit de eigen positie (in 't algemeen een potentiele valkuil).
roloff
0
@Inion, dank. CGT kan wel degelijk een addertje (onder het gras) voor een trader zijn.
Gastone
0
@Roloff, Inion, ik heb dat via internet geprobeerd uit te zoeken, maar is me nog niet geheel duidelijk. Ik kan me overigens niet voorstellen dat opties ook onder deze regeling vallen. Voorlopig eerst maar eens residenza verkrijgen.
roloff
0
Marcel H.
0
quote:

Toert schreef op 7 januari 2020 16:20:

[...]

Staat niet bij de risk factors in het jaarrapport 2018. Hoewel die behoorlijk uitgebreid omschreven worden.

Aangeraden lectuur voor jou, AD, vanaf pagina 50 !
Die Hollander ligt in een deuk. Hij moet zich melden bij de bron voor info.
Inion
1
quote:

Gastone schreef op 7 januari 2020 17:27:

@Roloff, Inion, ik heb dat via internet geprobeerd uit te zoeken, maar is me nog niet geheel duidelijk. Ik kan me overigens niet voorstellen dat opties ook onder deze regeling vallen. Voorlopig eerst maar eens residenza verkrijgen.
Opties of aandelen maakt niet uit. Het gaat om de vermogensgroei. De kapitaalwinst bij verkoop.
Gastone
1
Dank Roloff en Inion, in Italie 26%. Dus als je 100000 verdubbelt, ben je 26000 aan belasting kwijt, als ik het goed begrijp. In NL 1.2% over vermogen is 2400. Scheelt een factor 10! Maar die 1.2% betaal je ieder jaar. Compensatie verliezen, etc. Ingewikkelde materie, niet om hier verder uit te werken.
VP 1958
0
Is er geen baantje bij ASML voor AD? Ombudsman of zoiets dergelijks is wel van toepassing of Idee bus opener.
7.502 Posts, Pagina: « 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 ... 372 373 374 375 376 » | Laatste
Aantal posts per pagina:  20 50 100 | Omhoog ↑

Meedoen aan de discussie?

Word nu gratis lid of log in met uw e-mailadres en wachtwoord.

Direct naar Forum

Detail

Vertraagd 13 mei 2024 11:52
Koers 854,300
Verschil -10,200 (-1,18%)
Hoog 870,200
Laag 854,100
Volume 65.883
Volume gemiddeld 539.241
Volume gisteren 433.877

EU stocks, real time, by Cboe Europe Ltd.; Other, Euronext & US stocks by NYSE & Cboe BZX Exchange, 15 min. delayed
#/^ Index indications calculated real time, zie disclaimer, streaming powered by: Infront